Back to home page

Project CMSSW displayed by LXR

 
 

    


Last indexation completed on 2024-07-26 17:54:33 UTC

Identifier search

Type the full name of an identifier to look for (a function name, variable name, typedef, etc).
Matches are case-sensitive. Check "Definitions only" to find only definitions of the symbol (unchecked, all references).
As a convenience, extra 999 line numbers denote case-insensitive occurrences (either belonging to a case-insensitive language or differing in case).

  


Definitions for setw

Type Member of File Line
function prototype or declaration std /CalibMuon/CSCCalibration/test/stubs/CSCIndexerAnalyzer2.cc 171
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 285
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 287
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 290
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 600
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 611
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 624
function prototype or declaration std /CondCore/Utilities/bin/cmscond_authentication_manager.cpp 635
function prototype or declaration std /CondFormats/OptAlignObjects/src/OpticalAlignInfo.cc 37
function prototype or declaration std /CondFormats/OptAlignObjects/src/OpticalAlignInfo.cc 53
function prototype or declaration std /CondFormats/OptAlignObjects/src/OpticalAlignMeasurementInfo.cc 24
function prototype or declaration fffnaming::std /EventFilter/Utilities/interface/FFFNamingSchema.h 180
function prototype or declaration fffnaming::std /EventFilter/Utilities/interface/FFFNamingSchema.h 187
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 971
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 980
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 987
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 997
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 1005
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 1015
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 1023
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 1033
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 1041
function prototype or declaration edm::std /FWCore/Framework/src/Schedule.cc 1051
function prototype or declaration edm::std /FWCore/ParameterSet/src/ConfigurationDescriptions.cc 311
function prototype or declaration edm::std /FWCore/ParameterSet/src/ConfigurationDescriptions.cc 313
function prototype or declaration edm::std /FWCore/ParameterSet/src/ConfigurationDescriptions.cc 324
function prototype or declaration edm::std /FWCore/ParameterSet/src/ConfigurationDescriptions.cc 326
function prototype or declaration edm::std /FWCore/ParameterSet/src/ConfigurationDescriptions.cc 328
function prototype or declaration edm::__anon1::std /FWCore/ParameterSet/src/DocFormatHelper.cc 27
function prototype or declaration edm::__anon1::std /FWCore/ParameterSet/src/DocFormatHelper.cc 46
function prototype or declaration edm::__anon1::std /FWCore/ParameterSet/src/DocFormatHelper.cc 51
function prototype or declaration edm::__anon1::std /FWCore/ParameterSet/src/DocFormatHelper.cc 63
function prototype or declaration edm::__anon1::std /FWCore/ParameterSet/src/DocFormatHelper.cc 69
function prototype or declaration edm::std /FWCore/ParameterSet/src/DocFormatHelper.cc 136
function prototype or declaration edm::std /FWCore/ParameterSet/src/DocFormatHelper.cc 142
function prototype or declaration edm::writeParameterValue::std /FWCore/ParameterSet/src/ParameterDescription.cc 604
function prototype or declaration edm::writeParameterValue::std /FWCore/ParameterSet/src/ParameterDescription.cc 637
function prototype or declaration edm::std /FWCore/ParameterSet/src/ParameterDescriptionBase.cc 262
function prototype or declaration edm::std /FWCore/ParameterSet/src/ParameterSwitchBase.cc 87
function prototype or declaration edm::std /FWCore/ParameterSet/src/ParameterSwitchBase.cc 96
function prototype or declaration edm::std /FWCore/ParameterSet/src/ParameterWildcardBase.cc 77
function prototype or declaration edm::std /FWCore/ParameterSet/src/ParameterWildcardBase.cc 89
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCDetIdAnalyzer.cc 139
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCGACwithB.cc 99
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCGACwithB.cc 187
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCGeometryAnalyzer.cc 243
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCGeometryAsChambers.cc 85
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCGeometryAsChambers.cc 168
function prototype or declaration std /Geometry/CSCGeometry/test/stubs/CSCGeometryAsLayers.cc 223
function prototype or declaration std /L1Trigger/GlobalTriggerAnalyzer/src/L1GtPatternWriter.cc 100

50 declarations in 18 files.

References to setw

File Line
/Alignment/CocoaFit/src/Fit.cc 895 895 896 897 1371 1371 1372 1385 1385 1386 1389 1392 1395 1397
/Alignment/CocoaFit/src/FittedEntriesManager.cc 71 74 100 104 108 232 234
/Alignment/CocoaFit/src/MatrixMeschach.cc 326
/Alignment/CocoaModel/src/ALIUnitsTable.cc 111 111 386
/Alignment/CocoaToDDL/src/CocoaUnitsTable.cc 119 119 395
/Alignment/CommonAlignmentProducer/test/AlignmentRcdScan.cpp 158 160 162 164 166
/Alignment/HIPAlignmentAlgorithm/src/HIPAlignmentAlgorithm.cc 1150 1203 1204 1204 1205 1205 1206 1207 1208 1208 1209 1209 1211 1211 1211 1212 1212 1212
/Alignment/LaserAlignment/src/LASBarrelAlgorithm.cc 622 626 636 640 653 663 673 677 688
/Alignment/LaserAlignment/src/LASBarrelAlignmentParameterSet.cc 105 108 117 120 132 141
/Alignment/LaserAlignment/src/LASEndcapAlignmentParameterSet.cc 171 172 184 185 197 198
/Alignment/LaserAlignmentSimulation/src/LaserSteppingVerbose.cc 29 30 31 32 33 34 35 36 37 38 40 40 41 42 43 44 45 46 50 52 56 69 69 70 71 72 75 76 76 77 78 98 99 100 101 102 103 104 105 106 107 109 109 110 111 112 113 114 115 119
/Alignment/MillePedeAlignmentAlgorithm/test/AlignmentRcdChecker.cpp 135 137 139 141 143
/Alignment/OfflineValidation/macros/FitPVResiduals.C 503 503 504 504 505 3788 3788 3789 3790 3790 3791 3903 3903 3904 3905 3905
/Alignment/OfflineValidation/macros/FitPVResolution.C 169 169 170 170
/Alignment/OfflineValidation/plugins/DMRChecker.cc 1429 1430 1430 1431 1431 1509 1512 1513 1515 1516
/Alignment/OfflineValidation/plugins/GeneralPurposeTrackAnalyzer.cc 1060 1061 1061 1063 1063 1117 1119 1119
/Alignment/OfflineValidation/plugins/PrimaryVertexValidation.cc 145 161 161 162 163
/Alignment/OfflineValidation/plugins/SplitVertexResolution.cc 1031 1031 1032 1033 1033
/Alignment/TrackerAlignment/test/TestTrackerHierarchy.cpp 124 125 125 128 129 130
/AnalysisDataFormats/TopObjects/src/TopGenEvent.cc 34 35 36 37
/AnalysisDataFormats/TopObjects/src/printParticle.cc 22 26 26 26 27 28
/CalibCalorimetry/EcalCorrelatedNoiseAnalysisAlgos/src/TEcnaHistos.cc 6758 6761 6766 6850 6853 6858 6942 6945 6950 7034 7037 7042
/CalibCalorimetry/EcalCorrelatedNoiseAnalysisAlgos/src/TEcnaObject.cc 305 321
/CalibCalorimetry/EcalCorrelatedNoiseAnalysisAlgos/src/TEcnaRun.cc 324 324 3522 3522 3523 3523 3523 3558 3558 3559 3559 3559 3594 3594 3595 3595 3595 3630 3630 3631 3631 3631 3666 3666 3667 3667 3667 3702 3702 3703 3703 3703 3738 3738 3739 3739 3739 3774 3774 3775 3775 3775 3810 3810 3811 3811 3811 3846 3846 3847 3847 3847 3882 3882 3883 3883 3883 3918 3918 3919 3919 3919 3954 3954 3955 3955 3955 3990 3990 3991 3991 3991 4026 4026 4027 4027 4027 4062 4062 4063 4063 4063 4098 4098 4099 4099 4099 4134 4134 4135 4135 4135 4171 4171 4172 4172 4172 4207 4207 4208 4208 4208 4243 4243 4244 4244 4244 4279 4279 4280 4280 4280 4315 4315 4316 4316 4316 4351 4351 4352 4352 4352 4387 4387 4388 4388 4388 4418 4418 4419 4419
/CalibCalorimetry/EcalCorrelatedNoiseAnalysisAlgos/src/TEcnaWrite.cc 1518 1525 1672 1672 1672 1674 1674 1675 1680 1680 1680 1682 1682 1683 1689 1690 1690 1692 1813 1820
/CalibCalorimetry/EcalCorrelatedNoiseAnalysisAlgos/test/EcnaHistosExample2.cc 203 206 228 231 239 242 248 251
/CalibCalorimetry/EcalCorrelatedNoiseAnalysisModules/src/EcnaAnalyzer.cc 530 539 548 576 1469 1469 1488 1488 1490 1495 1495
/CalibCalorimetry/EcalLaserSorting/src/LaserSorter.cc 165 679 827 1082
/CalibCalorimetry/EcalLaserSorting/src/LmfSource.cc 75 103 184 299 346 405 417
/CalibCalorimetry/EcalSRTools/src/EcalDccWeightBuilder.cc 132 133 135 136 137 179 180 183 183 184 400 401 402 403 404 407
/CalibFormats/SiStripObjects/src/SiStripHashedDetId.cc 79 98 99
/CalibFormats/SiStripObjects/src/SiStripModule.cc 385 387 420 422
/CalibMuon/CSCCalibration/test/stubs/CSCIndexerAnalyzer2.cc 148 148 148 149 149 150 158 158 158 158 167 167 167 168 168 171
/CalibMuon/CSCCalibration/test/stubs/CSCMapperTestPostls1.cc 78 78 78 78 78 79 79 98 98 98 98 98 99 99 117 117 117 117 117 118 118
/CalibMuon/CSCCalibration/test/stubs/CSCMapperTestStartup.cc 82 82 82 82 82 83 83 102 102 102 102 102 103 103 121 121 121 121 121 122 122
/CalibPPS/AlignmentGlobal/plugins/PPSAlignmentHarvester.cc 313 321
/CalibTracker/SiPhase2TrackerESProducers/plugins/SiPhase2BadStripConfigurableFakeESSource.cc 237
/CalibTracker/SiPixelESProducers/plugins/alpaka/SiPixelCablingSoAESProducer.cc 115 115 116 118 118 118 121 121
/CalibTracker/SiPixelESProducers/src/SiPixelROCsStatusAndMappingWrapper.cc 90 90 90 93 93 94 96 96
/CalibTracker/SiStripCommon/interface/TTREE_FOREACH_ENTRY.hh 70
/CalibTracker/SiStripDCS/plugins/SiStripDetVOffPrinter.cc 107 107
/CalibTracker/SiStripDCS/plugins/SiStripDetVOffTrendPlotter.cc 150 150
/CalibTracker/SiStripHitResolution/macros/Resolutions.cc 447 447 448 448 449 452 453 453 454 454
/CalibTracker/SiStripQuality/plugins/SiStripQualityStatistics.cc 326 326
/Calibration/HcalCalibAlgos/macros/AnalyzeLepTree.C 888
/Calibration/HcalCalibAlgos/macros/CalibCorr.C 1380 1380 1380 1381 1381 1434 1434 1434 1435 1435
/Calibration/HcalCalibAlgos/macros/CalibSort.C 975 975 2425 2429 2429 2520 2520 2520 2521 2550 2550 2550 2551 2551
/Calibration/HcalCalibAlgos/macros/CalibTree.C 1226 1226 1226 1227 1234 1234 1234 1235 1235
/Calibration/HcalCalibAlgos/macros/RecJet.C 1049 1049 1050 1050 1050 1051 1052 1052 1064 1064 1065 1066 1074 1075 1076 1077 1078 1079 1080 1444 1445 1445 1446 1446 1447 1447 1465 1466 1467 1468 1469 1470 1471
/Calibration/HcalCalibAlgos/macros/hocalib_pl18.C 560 560 565 565
/Calibration/HcalCalibAlgos/macros/hocalib_tmpfit.C 653 653 653 654 655 655 655 658 658 658 659 660 718 718 718 719 720
/Calibration/HcalCalibAlgos/plugins/HOCalibAnalyzer.cc 317 317 318 318 319 319 353 353 354 354 355 355
/Calibration/IsolatedParticles/test/TreeAnalysis/TreeAnalysisHcalNZS.C 756 756 757 758 758 759 759 760 760
/Calibration/Tools/test/testEcalRingCalibrationTools.cc 80 94 116 130
/CaloOnlineTools/EcalTools/plugins/EcalFEDErrorFilter.cc 67
/CaloOnlineTools/EcalTools/plugins/EcalHexDisplay.cc 50 50
/CommonTools/BaseParticlePropagator/src/RawParticle.cc 75 76 77 78
/CondCore/AlignmentPlugins/interface/AlignmentPayloadInspectorHelper.h 1025 1025 1026
/CondCore/AlignmentPlugins/plugins/TrackerAlignment_PayloadInspector.cc 1659 1661 1701 1702
/CondCore/SiStripPlugins/plugins/SiStripPedestals_PayloadInspector.cc 843
/CondCore/Utilities/bin/cmscond_authentication_manager.cpp 276 278 281 285 287 290 300 300 301 319 321 324 366 366 368 368 370 371 372 373 376 376 379 379 600 611 624 635 648 651
/CondFormats/JetMETObjects/src/JetCorrectorParameters.cc 320 322 323 325 326 326 328 330 335 335 336 338
/CondFormats/JetMETObjects/src/JetResolutionObject.cc 370 370 370 372 375 375 379 382
/CondFormats/JetMETObjects/src/METCorrectorParameters.cc 295 297 298 300 301 301 306 306 307 309
/CondFormats/JetMETObjects/src/MEtXYcorrectParameters.cc 219 221 222 224 225 225 230 230 231 233
/CondFormats/JetMETObjects/test/JetCorrectorParameters_t.cpp 21 55 133 133 133 133 134 134 134 134 135 135 148 148 148 149 151 153 154 156 158 159 159 159
/CondFormats/L1TObjects/interface/L1MuScale.h 197 197 197 198 343 343 343
/CondFormats/L1TObjects/src/L1GctJetFinderParams.cc 361 374
/CondFormats/L1TObjects/src/L1GtParameters.cc 64 68
/CondFormats/L1TObjects/src/L1GtTriggerMask.cc 55 56
/CondFormats/L1TObjects/src/L1GtTriggerMenu.cc 490 491 498 498 499 515 515 516 531 532 542 542 543 559 614
/CondFormats/L1TObjects/src/L1MuDTEtaPattern.cc 156 157 158
/CondFormats/L1TObjects/src/L1MuDTExtLut.cc 202 203 243 246 249
/CondFormats/L1TObjects/src/L1MuDTPhiLut.cc 165 166 202 205
/CondFormats/L1TObjects/src/L1MuDTPtaLut.cc 284 317 320
/CondFormats/L1TObjects/src/L1MuDTQualPatternLut.cc 181 186 186 186 191
/CondFormats/L1TObjects/src/L1MuDTTFMasks.cc 183 196 209 222 235 248 261 274
/CondFormats/L1TObjects/src/L1MuDTTFParameters.cc 300 313 326 339 352 365 378 391 404 417 430 443 456 469 482 495 508
/CondFormats/L1TObjects/src/L1RCTParameters.cc 367 367 372 372 376 402 407 413 415 424 426 434 436 444 446
/CondFormats/OptAlignObjects/src/OpticalAlignInfo.cc 19 20 21 22 23 24 25 26 27 28 29 30 37 46 47 48 49 50 53
/CondFormats/OptAlignObjects/src/OpticalAlignMeasurementInfo.cc 16 21 24
/CondFormats/PCLConfig/src/AlignPCLThresholds.cc 192 192 193 193 194 194 195 195 197 197 198 198 199 199 200 200 202 202 203 203 204 204 205 205 207 207 208 208 209 209 210 210 212 212 213 213 214 214 215 215 217 217 218 218 219 219 220 220 229 229 230 230 231 231 232 232
/CondFormats/PCLConfig/src/AlignPCLThresholdsHG.cc 134 134 135 135 136 136 137 137 140 140 145 145 146 146 147 147 148 148 151 151 156 156 157 157 158 158 159 159 162 162 167 167 168 168 169 169 170 170 173 173 178 178 179 179 180 180 181 181 184 184 189 189 190 190 191 191 192 192 195 195 207 207 208 208 209 209 210 210
/CondFormats/PPSObjects/src/PPSAlignmentConfig.cc 200 205 210 210 218 228 235
/CondFormats/PPSObjects/src/PPSAlignmentConfiguration.cc 208 213 218 218 226 237 245 258
/CondFormats/SiPixelObjects/src/SiPixelDetSummary.cc 72 72 112 112
/CondFormats/SiPixelTransient/src/SiPixelGenError.cc 73 79
/CondFormats/SiPixelTransient/src/SiPixelTemplate.cc 156
/CondFormats/SiPixelTransient/src/SiPixelTemplate2D.cc 87
/CondFormats/SiStripObjects/src/ApvTimingAnalysis.cc 182
/CondFormats/SiStripObjects/src/CommissioningAnalysis.cc 58 59 61 62 64 65 67 68
/CondFormats/SiStripObjects/src/DaqScopeModeAnalysis.cc 172 172 173 173 175 175 176 176 178 178 179 179 200
/CondFormats/SiStripObjects/src/FastFedCablingAnalysis.cc 135 136 138 139 141 142 167 181
/CondFormats/SiStripObjects/src/FedCablingAnalysis.cc 73
/CondFormats/SiStripObjects/src/FedChannelConnection.cc 169 171 189 190
/CondFormats/SiStripObjects/src/NoiseAnalysis.cc 198 198 199 199 201 201 202 202 204 204 205 205 226
/CondFormats/SiStripObjects/src/OptoScanAnalysis.cc 148
/CondFormats/SiStripObjects/src/PedestalsAnalysis.cc 198 198 199 199 201 201 202 202 204 204 205 205 226
/CondFormats/SiStripObjects/src/PedsFullNoiseAnalysis.cc 344 344 345 345 347 347 348 348 350 350 351 351 373
/CondFormats/SiStripObjects/src/PedsOnlyAnalysis.cc 158 158 159 159 161 161 162 162 168
/CondFormats/SiStripObjects/src/SiStripDetSummary.cc 46 46 46 91 91 91
/CondFormats/SiStripObjects/src/SiStripFedCabling.cc 136 137 140 142 333 338 343
/CondFormats/SiStripObjects/src/SiStripNoises.cc 194 194 212 212
/CondFormats/SiStripObjects/src/SiStripPedestals.cc 195 195 205 205
/CondFormats/SiStripObjects/src/VpspScanAnalysis.cc 116
/CondFormats/SiStripObjects/test/test_catch2_SiStripBadStripForPhase2.cpp 20
/CondTools/Ecal/src/EcalDCSTowerStatusXMLTranslator.cc 186
/CondTools/Ecal/src/EcalLaserHandler.cc 101 132
/CondTools/SiPhase2Tracker/plugins/SiPhase2BadStripChannelBuilder.cc 286
/CondTools/SiPixel/plugins/FastSiPixelFEDChannelContainerFromQuality.cc 209
/CondTools/SiPixel/plugins/SiPixel2DTemplateDBObjectReader.cc 139
/CondTools/SiPixel/plugins/SiPixelGenErrorDBObjectReader.cc 124
/CondTools/SiPixel/plugins/SiPixelTemplateDBObjectReader.cc 138
/CondTools/SiPixel/plugins/SiPixelVCalReader.cc 117 117 117 118 118 118 132 132 132 133 133 133
/CondTools/SiStrip/macros/readSiStripDBTrees.C 357
/CondTools/SiStrip/plugins/SiStripApvGainFromFileBuilder.cc 303 303 304 304 304 305 305 406 406 406 524 524 525 525 525 526 526 527 527
/CondTools/SiStrip/plugins/SiStripNoisesFromDBMiscalibrator.cc 255 265
/DPGAnalysis/Skims/src/LogErrorEventFilter.cc 389 390 391 392 394 395 396 397 400 400 400 401
/DQM/BeamMonitor/plugins/BeamMonitorBx.cc 222 482
/DQM/CSCMonitorModule/plugins/CSCDQM_Configuration.h 448 449 455 461 462 463 615
/DQM/CSCMonitorModule/plugins/CSCDQM_Detector.h 126 128 130 132 134 136
/DQM/EcalCommon/src/EcalDQMCommonUtils.cc 295 297 299 301
/DQM/HLXMonitor/src/HLXMonitor.cc 151 178
/DQM/L1TMonitor/src/L1GtHwValidation.cc 830 836 838 918 924 926 1054 1060 1062 1564 1570 1572 1662 1668 1670 1733 1739 1741 1754 1760 1762 1920
/DQM/L1TMonitor/src/L1TMP7ZeroSupp.cc 38 246 248 249 252 315 316
/DQM/L1TMonitorClient/src/L1EmulatorErrorFlagClient.cc 126 126 127 127
/DQM/L1TMonitorClient/src/L1TEMTFEventInfoClient.cc 390 391 393 393 402 403 404 420 420
/DQM/L1TMonitorClient/src/L1TEventInfoClient.cc 264 264 265 265 272 273 273 289 289
/DQM/RPCMonitorDigi/src/RPCLinkSynchroStat.cc 101 102 105 219 228 228
/DQM/SiStripCommissioningAnalysis/test/CalibrationScanAnalysis.C 211 412 413 414 415 416 419 420 421 422 423
/DQM/SiStripCommissioningClients/src/CalibrationHistograms.cc 159 161
/DQM/SiStripCommissioningClients/src/CommissioningHistograms.cc 87 88 638 640
/DQM/SiStripCommissioningClients/src/SiStripCommissioningOfflineClient.cc 241 423
/DQM/SiStripCommissioningDbClients/src/ApvTimingHistosUsingDb.cc 302 305
/DQM/SiStripCommissioningDbClients/src/CalibrationHistosUsingDb.cc 42 43 44 45 46
/DQM/SiStripCommissioningDbClients/src/CommissioningHistosUsingDb.cc 338 339
/DQM/SiStripCommissioningDbClients/src/DaqScopeModeHistosUsingDb.cc 118 153 249
/DQM/SiStripCommissioningDbClients/src/FastFedCablingHistosUsingDb.cc 247 255 255 264 264 429 430 507 508 516 517 525 526 538 539 548 549 558 559 568 569 578 579 588 589
/DQM/SiStripCommissioningDbClients/src/NoiseHistosUsingDb.cc 112
/DQM/SiStripCommissioningDbClients/src/PedestalsHistosUsingDb.cc 129 185
/DQM/SiStripCommissioningDbClients/src/PedsFullNoiseHistosUsingDb.cc 135 236
/DQM/SiStripCommissioningDbClients/src/PedsOnlyHistosUsingDb.cc 119
/DQM/SiStripCommissioningSources/plugins/tracking/SiStripFineDelayHit.cc 596
/DQM/SiStripCommissioningSources/src/CommissioningTask.cc 39 40 53 54
/DQM/SiStripCommissioningSources/src/SiStripCommissioningSource.cc 432 443 549 558 658 670 865 872 878 887 1029 1036 1115 1118 1128 1130
/DQM/SiStripCommissioningSummary/src/SummaryGeneratorControlView.cc 69 72 75 78 81
/DQM/SiStripCommissioningSummary/src/SummaryGeneratorReadoutView.cc 63 66 69 72
/DQM/SiStripMonitorClient/src/SiStripActionExecutor.cc 227 228 229 234
/DQM/SiStripMonitorClient/src/SiStripQualityChecker.cc 378
/DQM/SiStripMonitorClient/src/SiStripTrackerMapCreator.cc 355 355
/DQM/SiStripMonitorClient/test/certification.C 644
/DQM/TrackerCommon/test/TimingProfiler_Cosmics.cpp 68 69 70 103 103 103 106 106 106 109 109 110 110 110 113 113 113 116 116 116 119 119 119 122 122 122 125 125 125 128 128 129 129 129 135 135 135 138 138 139 139 139 142 142 142 150 150 150 158 158 158 166 166 166 174 174 174 182 182 182 190 190 190 198 198 198 204 204 204 213 213 213 221 221 221 237 237 237 244 244 244 254 254 254 261 261 261 271 271 271 277 277 277 284 284 285 285 285 293 293 293 299 299 300 300 300 303 303 303 309 309 310 310 310 313 313 313 319 319 320 320 320 323 323 323 329 329 330 330 330 335 335 335 341 341 341 347 347 347 353 353 353 359 359 359 365 365 365 371 371 372 372 372 375 375 375 381 381 382 382 382 386 386 386 392 392 393 393 393
/DQM/TrackerCommon/test/TimingProfiler_SiPixel.cpp 68 69 70 103 103 103 106 106 106 109 109 110 110 110 113 113 113 116 116 116 119 119 119 122 122 122 125 125 125 128 128 129 129 129 135 135 135 138 138 139 139 139 142 142 142 145 145 145 148 148 149 149 149 152 152 152 163 163 163 173 173 173 184 184 184 213 213 213 223 223 223 233 233 233 255 255 255 277 277 277 286 286 286 292 292 292 299 299 300 300 300 308 308 308 314 314 315 315 315 318 318 318 324 324 325 325 325 338 338 338 344 344 345 345 345 350 350 350 356 356 357 357 357 387 387 387 393 393 393 399 399 399 405 405 406 406 406 410 410 410 416 416 417 417 417 421 421 421 427 427 428 428 428
/DQM/TrackerCommon/test/TimingProfiler_SiStrip.cpp 68 69 70 103 103 103 106 106 106 109 109 110 110 110 113 113 113 116 116 116 119 119 119 122 122 122 125 125 125 128 128 129 129 129 135 135 135 138 138 139 139 139 142 142 142 145 145 145 148 148 149 149 149 152 152 152 163 163 163 173 173 173 184 184 184 213 213 213 223 223 223 233 233 233 255 255 255 277 277 277 286 286 286 292 292 292 299 299 300 300 300 308 308 308 314 314 315 315 315 318 318 318 324 324 325 325 325 328 328 328 334 334 335 335 335 338 338 338 344 344 345 345 345 350 350 350 356 356 356 362 362 362 368 368 368 374 374 374 380 380 380 386 386 387 387 387 390 390 390 396 396 397 397 397 401 401 401 407 407 408 408 408
/DQM/TrackingMonitorClient/src/TrackingActionExecutor.cc 166 167 168 173
/DQM/TrackingMonitorSource/plugins/HLTPathSelector.cc 148 148 150
/DQMOffline/JetMET/interface/SusyDQM/TTREE_FOREACH_ENTRY.hh 59
/DQMOffline/JetMET/src/BeamHaloAnalyzer.cc 742 742 742 742 755 755 755 755 768 768 768 768 781 781 781 781 813 813 813 813
/DQMServices/Components/plugins/DQMStoreStats.cc 785 786 787 788 789 794 796 798 802 805 821 822 823 824 825 826 827 828
/DQMServices/FileIO/plugins/DQMFileSaverOnline.cc 162
/DataFormats/CSCDigi/src/CSCALCTDigi.cc 125 125 126 126 127 128 128 129
/DataFormats/CSCDigi/src/CSCALCTPreTriggerDigi.cc 87 87 88 88 89 90 90 91
/DataFormats/CSCDigi/src/CSCCLCTDigi.cc 203 203 204 205 205 206 207 207 208 208 209 209
/DataFormats/CSCDigi/src/CSCCLCTPreTriggerDigi.cc 108 108 109 110 110 111 112 112 113 113 114
/DataFormats/CSCDigi/src/CSCDCCFormatStatusDigi.cc 75 76 77 83 92 92 93 100 101 102 106 112 112 113
/DataFormats/Common/test/testMultiAssociation.cc 370 370 370 375 375 386 386 399 399
/DataFormats/EcalDetId/test/printEEDetId.cpp 25
/DataFormats/FEDRawData/test/DumpFEDRawDataProduct.cc 63 63 68 69 76 76 89 89
/DataFormats/ForwardDetId/src/MTDDetId.cc 15 17
/DataFormats/HcalDetId/src/HcalFrontEndId.cc 77
/DataFormats/HcalDigi/src/HcalHistogramDigi.cc 32 34
/DataFormats/L1CaloTrigger/test/printRegionMap.cpp 47 53 60 63 66 69 72 75
/DataFormats/L1GlobalMuonTrigger/src/L1MuGMTCand.cc 148 149 150 151 152 153 154 155 158 159 160 161 162 163 164 165 175 176 177 178 179 180 181 182
/DataFormats/L1GlobalMuonTrigger/src/L1MuGMTExtendedCand.cc 113 114 115 116 117 126 128 129 130 131
/DataFormats/L1GlobalMuonTrigger/src/L1MuRegionalCand.cc 105 106 107 108 109 110 111 112 113 116 117 118 119 120 121 122 123 124
/DataFormats/L1GlobalTrigger/src/L1GlobalTriggerEvmReadoutRecord.cc 211
/DataFormats/L1GlobalTrigger/src/L1GlobalTriggerObjectMap.cc 117 117
/DataFormats/L1GlobalTrigger/src/L1GlobalTriggerReadoutRecord.cc 317
/DataFormats/L1GlobalTrigger/src/L1GtFdlWord.cc 601 609 612 616 650 654 658 662 666 673 677 681 717 717
/DataFormats/L1GlobalTrigger/src/L1GtPsbWord.cc 351 358 361 365 381 388 401 408 423 430 443 450 456
/DataFormats/L1GlobalTrigger/src/L1GtTriggerMenuLite.cc 239 240 241 243 243 258 258 259 259 261 271 271 273 275 275 285 285 286 288
/DataFormats/L1GlobalTrigger/src/L1GtfeExtWord.cc 447 461 471 477 498 498
/DataFormats/L1GlobalTrigger/src/L1GtfeWord.cc 257 261 264 267 270 276 280 283 306 306
/DataFormats/L1GlobalTrigger/src/L1TcsWord.cc 282 286 290 293 296 299 306 310 317 321 328 361 361
/DataFormats/L1TGlobal/src/GlobalAlgBlk.cc 185 187 189 191 201 217 233
/DataFormats/L1TGlobal/src/GlobalExtBlk.cc 79
/DataFormats/L1TGlobal/src/GlobalObjectMap.cc 115 115
/DataFormats/L1TMuon/src/BMTF/L1MuBMAddressArray.cc 263
/DataFormats/L1TMuon/src/BMTF/L1MuBMSecProcId.cc 126 126
/DataFormats/L1TMuon/src/BMTF/L1MuBMTrackSegLoc.cc 132 133 134
/DataFormats/L1TMuon/src/L1MuBMTrack.cc 255 256 257 260 261 262 276 277 278 281 282 282
/DataFormats/L1TMuon/src/L1MuBMTrackSegPhi.cc 185 186 187
/DataFormats/L1TMuon/src/L1MuKBMTCombinedStub.cc 82 83 84 85 86 87 88 89 90 91 92 93
/DataFormats/L1Trigger/src/L1DataEmulDigi.cc 75 75 77 77 78 79 80 81 81
/DataFormats/L1Trigger/src/L1MonitorDigi.cc 39 39 41 41 42 43 43
/DataFormats/Luminosity/src/LumiDetails.cc 146 165 165 165 169 169 169
/DataFormats/Luminosity/src/LumiSummary.cc 100 101 106 108 112 113 116 118
/DataFormats/MuonDetId/src/RPCCompDetId.cc 213 224 224 225 227 227 228 228
/DataFormats/MuonDetId/test/testRPCDetId.cc 86
/DataFormats/OnlineMetaData/src/CTPPSRecord.cc 34
/DataFormats/OnlineMetaData/src/DCSRecord.cc 51
/DataFormats/ParticleFlowCandidate/src/PFCandidateEGammaExtra.cc 172 172 173 173 176 176 176 176
/DataFormats/ParticleFlowCandidate/src/PFCandidateElectronExtra.cc 162 162 163 163 167 167 167 167
/DataFormats/ParticleFlowReco/src/PFBlock.cc 254 257 266 274 276 283 287 297 306 308
/DataFormats/ParticleFlowReco/src/PFBlockElementBrem.cc 38 38
/DataFormats/ParticleFlowReco/src/PFBlockElementCluster.cc 25 25 28 28 29 29
/DataFormats/ParticleFlowReco/src/PFBlockElementGsfTrack.cc 46 46 50 54
/DataFormats/ParticleFlowReco/src/PFBlockElementSuperCluster.cc 27 27 28 28
/DataFormats/ParticleFlowReco/src/PFBlockElementTrack.cc 54 54 58 59
/DataFormats/ParticleFlowReco/src/PFSimParticle.cc 45 48 448 448 453 453
/DataFormats/PatCandidates/src/JetCorrFactors.cc 100 105 108
/DataFormats/PatCandidates/src/TauJetCorrFactors.cc 58 59
/DataFormats/Provenance/src/FileIndex.cc 216 216 216 216 222 222 222 222 225 225 225 225 229 229 229 229
/DataFormats/SiStripCommon/src/SiStripDetKey.cc 311
/DataFormats/SiStripCommon/src/SiStripEventSummary.cc 121 122 168 175
/DataFormats/SiStripCommon/src/SiStripFecKey.cc 731
/DataFormats/SiStripCommon/src/SiStripFedKey.cc 484
/DataFormats/SiStripCommon/src/SiStripHistoTitle.cc 80 193
/DataFormats/SiStripCommon/src/SiStripKey.cc 92
/DataFormats/SiStripCommon/src/SiStripNullKey.cc 60
/DataFormats/SiStripCommon/test/plugins/test_SiStripEnumsAndStrings.cc 61 61 61 82 82 82 106 106 106 130 130 130 154 154 154 178 178 178 201 201 201 221 221 221
/DataFormats/SiStripDetId/src/SiStripDetId.cc 6 8
/DataFormats/TCDS/src/TCDSRecord.cc 99
/DetectorDescription/Core/test/clhepToROOTMath.cpp 22 23 24 25 26 27 28 29 30 48 48 49 51 51 52 54 54 55 62 63 64 65 66 67 68 69 70 76 77 78 79 80 81 82 83 84 109 109 110 112 112 113 115 115 116
/DetectorDescription/Core/test/testShapes.cpp 44 44 45 48 48 49 52 52 53 56 56 57 60 60 61 64 64 65 68 68 69 72 72 73 76 76 77 80 80 81 84 84 85 88 88 89 92 92 93 96 96 97 100 100 101 104 104 105 108 108 109 112 112 113 116 116 117 120 120 121
/DetectorDescription/RegressionTest/src/DDCompareTools.cc 210 289 290 291 292 293 294 295 296 297 300 301 302 303 304 305 306 307 308
/EventFilter/CSCRawToDigi/src/CSCCFEBTimeSlice.cc 77
/EventFilter/CSCRawToDigi/src/CSCDCCExaminer.cc 629 629 633 636
/EventFilter/CSCRawToDigi/src/CSCTMBData.cc 373 373
/EventFilter/CSCRawToDigi/test/dduBinExaminerTest.cpp 34 304 310
/EventFilter/CTPPSRawToDigi/interface/TotemSampicFrame.h 301
/EventFilter/ESDigiToRaw/src/ESDataFormatter.cc 14 23
/EventFilter/EcalRawToDigi/plugins/EcalDumpRaw.cc 278 278 279 280 280 585 589 592 596 623 683 683 684 699 699 699 700 721 721 729 732 732 732 839 854 870
/EventFilter/EcalRawToDigi/plugins/MatacqProducer.cc 460
/EventFilter/EcalRawToDigi/src/MatacqDataFormatter.cc 26 102 107
/EventFilter/EcalTBRawToDigi/src/DCCBlockPrototype.cc 161 164 306 307
/EventFilter/EcalTBRawToDigi/src/MatacqDataFormatter.cc 24 81 86
/EventFilter/EcalTBRawToDigi/test/stubs/EcalHexDumperModule.cc 90 91
/EventFilter/EcalTBRawToDigi/test/stubs/EcalMatacqHist.cc 60
/EventFilter/GctRawToDigi/plugins/GctDigiToRaw.cc 207 207
/EventFilter/HGCalRawToDigi/src/HGCalFrameGenerator.cc 29 30
/EventFilter/HGCalRawToDigi/test/HGCalUnpackerTest.cc 27
/EventFilter/L1GlobalTriggerRawToDigi/src/L1GTDigiToRaw.cc 76 167 170 520 520 576 576 620 620
/EventFilter/L1GlobalTriggerRawToDigi/src/L1GTEvmDigiToRaw.cc 66 160 163 464 464 513 513 569 569
/EventFilter/L1GlobalTriggerRawToDigi/src/L1GlobaTriggerEvmRawToDigi.cc 92 307 309 635 635 640 644 648 652 656 660 681 681 686 690 694 698 702 731 731
/EventFilter/L1GlobalTriggerRawToDigi/src/L1GlobaTriggerRawToDigi.cc 114 334 337 706 706 711 715 719 723 727 731 768 769 803 804 903 903 908 912 916 920 924 968 968
/EventFilter/L1GlobalTriggerRawToDigi/src/L1GtTextToRaw.cc 138 139
/EventFilter/L1TRawToDigi/plugins/L1TDigiToRaw.cc 141
/EventFilter/L1TRawToDigi/plugins/L1TRawToDigi.cc 248 250 254
/EventFilter/L1TRawToDigi/plugins/MP7BufferDumpToRaw.cc 375
/EventFilter/L1TRawToDigi/plugins/implementations_stage2/RegionalMuonGMTUnpacker.cc 91 92 103 104
/EventFilter/L1TRawToDigi/src/AMC13Spec.cc 31 34
/EventFilter/L1TRawToDigi/src/AMCSpec.cc 86 88
/EventFilter/L1TRawToDigi/src/Block.cc 106
/EventFilter/L1TXRawToDigi/interface/UCTAMCRawData.h 41 47 48
/EventFilter/L1TXRawToDigi/interface/UCTCTP7RawData.h 277 278 294 295 313 314 315 326 327 330
/EventFilter/L1TXRawToDigi/interface/UCTDAQRawData.h 17 194 242 245 246 249 254 254 255 256 269 274 276 280
/EventFilter/Phase2TrackerRawToDigi/interface/utils.h 39 45
/EventFilter/Phase2TrackerRawToDigi/plugins/Phase2TrackerDigiProducer.cc 126 127 128 129 132 142 145
/EventFilter/Phase2TrackerRawToDigi/src/Phase2TrackerFEDBuffer.cc 14 15
/EventFilter/Phase2TrackerRawToDigi/src/Phase2TrackerFEDHeader.cc 39
/EventFilter/Phase2TrackerRawToDigi/test/plugins/Phase2TrackerFEDTestAnalyzer.cc 104 105 106 107 108 118 121
/EventFilter/SiPixelRawToDigi/test/findHotPixels.cc 418 418 418 419 419 419 419 420 420 420 420
/EventFilter/SiStripRawToDigi/plugins/SiStripRawToDigiUnpacker.cc 134 135 136 958 959 1032 1033 1033 1059 1060 1060 1061 1061 1062 1062 1063 1063 1064
/EventFilter/SiStripRawToDigi/src/SiStripFEDBufferComponents.cc 12
/EventFilter/SiStripRawToDigi/test/plugins/SiStripDigiAnalyzer.cc 113 118 120 124 129 131
/EventFilter/Utilities/interface/FFFNamingSchema.h 12 12 17 17 36 44 51 58 65 84 113 132 151 180 187
/EventFilter/Utilities/plugins/FRDOutputModule.cc 148 149
/EventFilter/Utilities/plugins/RawEventFileWriterForBU.cc 275 317
/EventFilter/Utilities/src/EvFDaqDirector.cc 166 1196 1278 1460 1992
/EventFilter/Utilities/src/FastMonitoringService.cc 341 348 349 354
/EventFilter/Utilities/src/json_writer.cpp 141
/EventFilter/Utilities/test/testGlobalNumbers.cc 59 59 62 70 78 78 81 87 95 96 144 145 145 146 147 147 148 149 149 150 151 151 152 153 154 154 155 156 157 157 182
/FWCore/Framework/src/Schedule.cc 835 836 837 838 839 844 844 845 845 846 846 870 871 872 873 874 878 878 879 879 880 880 888 889 890 891 892 897 897 898 898 899 900 908 909 910 911 912 918 918 919 919 920 921 929 930 931 932 933 938 939 939 940 940 970 971 975 976 979 980 986 987 992 993 996 997 1004 1005 1008 1009 1014 1015 1022 1023 1026 1027 1032 1033 1039 1040 1041 1044 1045 1046 1049 1050 1051
/FWCore/MessageService/src/ELstatistics.cc 224 258 258 259 259 260 261 261 262 290 290 291 291 292 292 292 293 325 325 326 326 336 337 337
/FWCore/MessageService/test/UnitTestClient_C.cc 23 24 30
/FWCore/Modules/src/EventContentAnalyzer.cc 341 439
/FWCore/ParameterSet/bin/edmPluginHelp.cpp 123 125 126 129 131 132 136 137 138
/FWCore/ParameterSet/src/ConfigurationDescriptions.cc 311 313 324 326 328 428
/FWCore/ParameterSet/src/DocFormatHelper.cc 27 46 51 63 69 136 142
/FWCore/ParameterSet/src/ParameterDescription.cc 604 637
/FWCore/ParameterSet/src/ParameterDescriptionBase.cc 250 253 258 262
/FWCore/ParameterSet/src/ParameterSetDescription.cc 154
/FWCore/ParameterSet/src/ParameterSwitchBase.cc 84 87 96
/FWCore/ParameterSet/src/ParameterWildcardBase.cc 77 81 89
/FWCore/Services/plugins/monitor_file_utilities.cc 26
/FWCore/Utilities/interface/OStreamColumn.h 79
/FWCore/Utilities/src/OStreamColumn.cc 13
/FWCore/Utilities/src/TimeOfDay.cc 46
/FWCore/Utilities/src/UnixSignalHandlers.cc 146
/FWCore/Utilities/test/test_catch2_Exception.cc 62
/FastSimDataFormats/L1GlobalMuonTrigger/src/SimpleL1MuGMTCand.cc 295 296 297 298 299 310 311 312 313
/FastSimulation/CaloHitMakers/test/testEcalHitMaker.cc 211
/FastSimulation/Event/src/FBaseSimEvent.cc 585 595 595 596 596 597 597 598 599 604 622 622 623 623 624 626
/FastSimulation/Event/src/FSimTrack.cc 155 155 159 159 160 161 161 162 162 163 163 171 171 172 172 173 175 182 182 183 183 184 184 185 192 192 193 193 194 194 195 203 203 204 204 205 205 206 214 214 215 215 216 216 217 225 225 226 226 227 227 228
/FastSimulation/Utilities/src/Looses.cc 39
/FastSimulation/Validation/test/timing.cpp 97 98 99 112 113 114
/Fireworks/Macros/eve_filter.cc 171
/GeneratorInterface/AlpgenInterface/plugins/AlpgenSource.cc 148
/GeneratorInterface/Core/plugins/GenXSecAnalyzer.cc 289 289 290 290
/GeneratorInterface/GenFilters/plugins/ComphepSingletopFilterPy8.cc 71 71 71 73 73 73 74 74 74
/GeneratorInterface/LHEInterface/src/LHEEvent.cc 387 388 388 388
/GeneratorInterface/LHEInterface/test/DummyLHEAnalyzer.cc 50 51 51 52 54 54 55 60 60 61 61 62 62 63 82 82 84 84 86 86 88 88 90 91 92 92 93 93 96 96 97 98
/GeneratorInterface/Pythia6Interface/plugins/Pythia6Hadronizer.cc 383 383 383 384 384 387 387 388 388 389
/GeneratorInterface/Pythia8Interface/plugins/JetMatchingEWKFxFx.cc 143 144 145 146 147 148 149 150 177 182 184
/Geometry/CSCGeometry/src/CSCGangedWireGrouping.cc 52 52 53
/Geometry/CSCGeometry/test/stubs/CSCDetIdAnalyzer.cc 117 117 117 118 118 119 126 126 126 126 135 135 136 139
/Geometry/CSCGeometry/test/stubs/CSCGACwithB.cc 97 97 97 98 99 99 110 110 111 144 144 145 145 146 154 154 155 184 187
/Geometry/CSCGeometry/test/stubs/CSCGeometryAnalyzer.cc 95 95 95 96 97 97 135 135 136 136 137 166 201 201 202 202 203 204 204 239 239 239 239 243
/Geometry/CSCGeometry/test/stubs/CSCGeometryAsChambers.cc 83 83 83 84 85 85 96 96 97 134 134 135 135 136 165 168
/Geometry/CSCGeometry/test/stubs/CSCGeometryAsLayers.cc 80 80 80 81 82 82 120 120 121 121 122 150 185 185 186 186 187 188 188 219 219 219 219 223
/Geometry/CaloEventSetup/test/CaloGeometryAnalyzer.cc 353 353 354 354 361 361 362 362 370 370 370 370 371 371 371 371 382 382 382 383 383 383 393 393 394 394 400 400 401 401 407 407 408 408 431 431 432 457 457 458
/Geometry/EcalTestBeam/test/CrystalCenterDump.cc 106 106 107
/Geometry/EcalTestBeam/test/ee/CaloGeometryLoaderTest.icc 599 603 606 609 613 617 621 634 638 651 655 659 663 667 671 675 675 707 707
/Geometry/HGCalCommonData/plugins/DDHGCalEEAlgo.cc 423 423 424 425 425 426
/Geometry/HGCalCommonData/plugins/DDHGCalMixRotatedCassette.cc 313
/Geometry/HGCalCommonData/plugins/DDHGCalMixRotatedLayer.cc 278
/Geometry/HGCalCommonData/plugins/DDHGCalSiliconRotatedCassette.cc 278
/Geometry/HGCalCommonData/plugins/DDHGCalSiliconRotatedModule.cc 247
/Geometry/HGCalCommonData/plugins/dd4hep/DDHGCalMixRotatedCassette.cc 232
/Geometry/HGCalCommonData/plugins/dd4hep/DDHGCalMixRotatedLayer.cc 203
/Geometry/HGCalCommonData/plugins/dd4hep/DDHGCalSiliconRotatedCassette.cc 209
/Geometry/HGCalCommonData/plugins/dd4hep/DDHGCalSiliconRotatedModule.cc 183
/Geometry/HGCalCommonData/test/HGCalConvert.cpp 451 453 476 478 492 494 635 637 651 653 676 678 692 694 871 873 887 889 916 918 932 934 950 952 1023 1025 1034 1036 1048 1050 1059 1061 1073 1075 1090 1092 1231 1233 1242 1244 1257 1259 1261 1263 1280 1282 1284 1286 1304 1306 1308 1310 1435 1437 1447 1449 1461 1463 1472 1474 1483 1485 1494 1496 1508 1510 1519 1521 1530 1532 1541 1543 1555 1557 1569 1571 1586 1588 1595 1597 1711 1713 1723 1725 1832 1834 1843 1845 1858 1860 1862 1864 1881 1883 1885 1887 1905 1907 1909 1911 2077 2079 2093 2095 2122 2124 2138 2140 2156 2158
/Geometry/HGCalGeometry/test/HGCGeomAnalyzer.cc 95 95 95 95 96 96 96 99 99 99 100 156 156 156 156 157 157 157 160 161 162 163
/Geometry/HcalCommonData/src/HcalDDDSimConstants.cc 1134 1134 1134 1191 1191 1192 1195 1195 1196 1196 1196
/Geometry/HcalTowerAlgo/test/CaloTowerGeometryAnalyzer.cc 67 67 67 67 68 68 68 70 70 70 70 71 71 71 81 81 81 81 88 88 95 107 107 107 111 120 120 127 133 133 133 133 135 135 141 145 145 145 148 151 151 157
/Geometry/HcalTowerAlgo/test/HcalGeometryDetIdTester.cc 75 86
/Geometry/MTDCommonData/test/DD4hep_TestMTDIdealGeometry.cc 236
/Geometry/MTDCommonData/test/TestMTDIdealGeometry.cc 199
/Geometry/MTDGeometryBuilder/src/MTDPixelTopologyBuilder.cc 39 40 40 41 41 42 43 43 44 44 45 45 46 47
/Geometry/MTDGeometryBuilder/src/RectangularMTDTopology.cc 42 42 43 43 44 45 45 46
/Geometry/MTDGeometryBuilder/test/DD4hep_TestBTLPixelTopology.cc 237
/Geometry/MTDGeometryBuilder/test/MTDDigiGeometryAnalyzer.cc 73 73 112 112 112 113 113 113 114 114 114 115 115 116 116 116 134 134 134 174 180
/Geometry/MTDNumberingBuilder/test/GeometricTimingDetAnalyzer.cc 148
/Geometry/RPCGeometry/src/RPCGeomServ.cc 25 58 68 71 103 136 140 143
/Geometry/RPCGeometry/test/RPCGEO.cc 206 207 229 232 280 281 306 309
/Geometry/TrackerGeometryBuilder/src/PixelTopologyMap.cc 5 5 6 10 10 11
/Geometry/TrackerNumberingBuilder/test/ModuleNumbering.cc 1266 1267 1269
/Geometry/VeryForwardGeometryBuilder/plugins/CTPPSGeometryInfo.cc 107 115 123 125 131 133
/GeometryReaders/XMLIdealGeometryESSource/test/TestCompareDDDumpFiles.cc 163 184
/GeometryReaders/XMLIdealGeometryESSource/test/complines.cc 115 136
/HLTrigger/HLTanalyzers/plugins/HLTrigReport.cc 547 548 549 550 551 552 553 554 555 562 562 563 563 564 564 566 567 573 580 581 582 583 584 585 586 587 588 589 590 597 597 598 598 599 599 601 602 608 608 609 619 620 621 622 623 624 625 626 627 628 629 634 634 635 636 636 637 640 641 648 648 649 660 661 662 663 664 665 671 671 672 672 673 678
/HLTrigger/HLTfilters/plugins/HLTHighLevel.cc 172
/HLTrigger/HLTfilters/plugins/HLTL1TSeed.cc 570 588 588 595 595 595 728 744 747
/HLTrigger/HLTfilters/plugins/HLTLevel1GTSeed.cc 550 550 560 560 722 722
/HLTrigger/Timer/plugins/FastTimerService.cc 1435
/HLTrigger/Timer/plugins/ThroughputService.cc 138
/HLTrigger/Tools/bin/hltDiff.cc 415 415 415 416 1546 1546 1546 1547 1547 1550
/HLTrigger/special/plugins/HLTLogMonitorFilter.cc 268 268 269 269 270
/HLTriggerOffline/Egamma/macros/GetDoubleElectronEff.C 202 202 202 203 203 203 204 204 204 204 205 205 205 205 206 206 206 206 207 207 207 207 208 208 208 208
/HLTriggerOffline/Egamma/macros/GetDoublePhotonEff.C 156 156 156 157 157 157 158 158 158 158 159 159 159 159 160 160 160 160 161 161 161 161
/HLTriggerOffline/Egamma/macros/GetRatesNewVars.C 447 447 447 447 447 447 447 447 450 450
/HLTriggerOffline/Egamma/macros/GetRatesOldVars.C 445 445 445 445 445 445 445 445 448 448
/HLTriggerOffline/Egamma/macros/GetRelaxedDoubleElectronEff.C 202 202 202 203 203 203 204 204 204 204 205 205 205 205 206 206 206 206 207 207 207 207 208 208 208 208
/HLTriggerOffline/Egamma/macros/GetRelaxedDoublePhotonEff.C 156 156 156 157 157 157 158 158 158 158 159 159 159 159 160 160 160 160 161 161 161 161
/HLTriggerOffline/Egamma/macros/GetRelaxedSingleElectronEff.C 187 187 187 188 188 188 189 189 189 189 190 190 190 190 191 191 191 191 192 192 192 192 193 193 193 193
/HLTriggerOffline/Egamma/macros/GetRelaxedSinglePhotonEff.C 156 156 156 157 157 157 158 158 158 158 159 159 159 159 160 160 160 160 161 161 161 161
/HLTriggerOffline/Egamma/macros/GetSingleElectronEff.C 193 193 193 194 194 194 195 195 195 195 196 196 196 196 197 197 197 197 198 198 198 198 199 199 199 199
/HLTriggerOffline/Egamma/macros/GetSinglePhotonEff.C 156 156 156 157 157 157 158 158 158 158 159 159 159 159 160 160 160 160 161 161 161 161
/HLTriggerOffline/Exotica/src/HLTExoticaSubAnalysis.cc 1341 1346
/HeterogeneousCore/AlpakaInterface/interface/CachingAllocator.h 156
/HeterogeneousCore/CUDAServices/plugins/CUDAService.cc 248 249 251 263 278 278 280 281 289 388 390 392 395 397
/HeterogeneousCore/CUDAUtilities/bin/cudaComputeCapabilities.cpp 23 23
/HeterogeneousCore/CUDAUtilities/src/getCachingDeviceAllocator.h 25 27 29 31
/HeterogeneousCore/CUDAUtilities/src/getCachingHostAllocator.h 26 28 30 32
/HeterogeneousCore/MPICore/test/testMPI.cc 283 284 284 784 784 785 785
/HeterogeneousCore/MPICore/test/testSerialisation.cc 31
/HeterogeneousCore/ROCmServices/plugins/ROCmService.cc 165 166 178 194 194 196 197 278 281
/HeterogeneousCore/ROCmUtilities/bin/rocmComputeCapabilities.cpp 24 24
/IOMC/Input/src/HepMCFileReader.cc 125 125 125 125 125 125 126 129 130 131 132 133 136 137 138 151 153 154 155 156
/IOMC/RandomEngine/plugins/RandomNumberGeneratorService.cc 874
/IOPool/Common/bin/CollUtil.cc 188 188 188 188 197 197 214 214 274 274 274 287 287 287 297 297 297 319 319 319 338 338 338 354 354 354
/IOPool/Output/src/PoolOutputModule.cc 377 379
/JetMETCorrections/MCJet/bin/L2Correction.cc 177 177 177 177 178 178 178 179 179 179 179
/JetMETCorrections/MCJet/bin/L3Correction.cc 91 91 91 91 92 92 96 96 97 100 100 100 148 148 148 148 148 149 149 150 150 154 154 154 155 155
/JetMETCorrections/MCJet/bin/Utilities.h 259 260 261 263 269 270 270
/L1Trigger/CSCTriggerPrimitives/interface/CSCLayerInfo.h 87 94
/L1Trigger/CSCTriggerPrimitives/src/CSCCathodeLCTProcessor.cc 628 629 629 856 857 857
/L1Trigger/CSCTriggerPrimitives/src/CSCUpgradeCathodeLCTProcessor.cc 58 59 59 78 172 173 173
/L1Trigger/CSCTriggerPrimitives/test/CCLUTLinearFitWriter.cpp 362
/L1Trigger/DTTrackFinder/src/L1MuDTAddressArray.cc 263
/L1Trigger/DTTrackFinder/src/L1MuDTEtaProcessor.cc 166 167 168 180 190 191 192 193 194 195 202 205
/L1Trigger/DTTrackFinder/src/L1MuDTSecProcId.cc 126 126
/L1Trigger/DTTrackFinder/src/L1MuDTTrack.cc 253 254 255 255 256 257 258 272 273 274 274 275 276 276
/L1Trigger/DTTrackFinder/src/L1MuDTTrackSegLoc.cc 132 133 134
/L1Trigger/DTTrackFinder/src/L1MuDTTrackSegPhi.cc 207 208 209
/L1Trigger/DTTriggerPhase2/plugins/DTTrigPhase2Prod.cc 1070 1070 1071 1071 1072 1072 1072 1073 1073 1074 1074 1075 1080 1080 1081 1081 1081 1082 1082 1083 1083 1084 1084 1084 1090 1090 1091 1091 1092 1092 1092 1093 1093 1094 1094 1094 1095 1095 1096 1096 1097 1097 1098 1098 1098 1099 1099 1100 1100 1101 1101 1101 1107 1107 1108 1108 1108 1109 1109 1110 1110 1110 1111 1111 1112 1112 1113 1113 1114 1114 1114 1115 1115 1116 1116 1117 1117 1117 1118 1118
/L1Trigger/DTTriggerPhase2/src/MPCorFilter.cc 237 237 237 238 238 239 239 239 240 240 241 241
/L1Trigger/DTTriggerPhase2/src/MPQualityEnhancerFilter.cc 226 226 227 227 227 228 228 229 229 230 230 231
/L1Trigger/DTTriggerPhase2/src/MPQualityEnhancerFilterBayes.cc 256 256 256 257 257 257 258 258 258 259 259 259
/L1Trigger/DTTriggerPhase2/src/MPSLFilter.cc 273 273 273 274 274 275 275 275 276 276 277 277
/L1Trigger/DTTriggerPhase2/src/MuonPathAssociator.cc 1119 1119 1120 1120 1120 1121 1121 1122 1122 1123 1123 1124 1124 1124 1125 1125 1126 1126 1127 1127 1128 1128 1128 1129 1129 1130 1130 1131
/L1Trigger/DTUtilities/src/DTTrigGeom.cc 239 411 411 421 421 424 424 432 432 435 435 440 440
/L1Trigger/DTUtilities/test/lut_test_function.cpp 50 50 58 58 61 61
/L1Trigger/DemonstratorTools/src/utilities.cc 247 321 450 460 467 468 484 484 492 499 506 506 530 537 543 544 546
/L1Trigger/GlobalCaloTrigger/interface/L1GctLut.h 131 133 136 138 150 153
/L1Trigger/GlobalCaloTrigger/test/printMap.cpp 11 84 90 105 108 111 114 117 120
/L1Trigger/GlobalMuonTrigger/test/L1MuGMTDump.cc 256 257 258 259 260 261 262 263 272 273 274 275 276 277 278 287 288 289 290 291 292 293 302 303 304 305 306 307 308 317 318 319 320 321 322 323 335 336 337 338 339 340 341 342 343
/L1Trigger/GlobalMuonTrigger/test/L1MuGMTPattern.cc 147 147 147 148 148 149 149 150 152 157 186 186 186 187 187 188 188 188 189 191 197
/L1Trigger/GlobalTrigger/plugins/L1GlobalTrigger.cc 338 341
/L1Trigger/GlobalTrigger/src/L1GtAlgorithmEvaluation.cc 176 176
/L1Trigger/GlobalTrigger/src/L1GtEtaPhiConversions.cc 1241 1241 1242 1243 1243 1244 1244 1245 1284 1284 1285 1286 1286 1287 1287 1288 1327 1327 1328 1329 1329 1330 1330 1331 1372 1372 1373 1374 1374 1375 1375 1376 1415 1415 1416 1417 1417 1418 1418 1419 1460 1460 1461 1462 1462 1463 1463 1464 1512 1512 1513 1514 1514 1515 1515 1516 1558 1558 1559 1560 1560 1561 1561 1562 1613 1613 1614 1615 1615 1616 1616 1617
/L1Trigger/GlobalTriggerAnalyzer/src/L1GetHistLimits.cc 508 592
/L1Trigger/GlobalTriggerAnalyzer/src/L1GtAnalyzer.cc 426 456 509 539 698 751 1197 1198 1199 1201 1201 1216 1216 1217 1217 1219 1229 1229 1231 1233 1233 1244 1244 1245 1247
/L1Trigger/GlobalTriggerAnalyzer/src/L1GtDataEmulAnalyzer.cc 125 131 133 196 202 204 361 367 369 709 715 717 807 813 815 878 884 886 899 905 907 1053
/L1Trigger/GlobalTriggerAnalyzer/src/L1GtPatternWriter.cc 78 100
/L1Trigger/GlobalTriggerAnalyzer/src/L1GtTrigReport.cc 635 635 636 637 637 669 670 671 672 677 677 678 679 685 686 687 688 693 693 694 695 714 715 716 717 718 719 719 724 724 725 727 728 729 729 735 736 737 738 739 740 740 745 745 746 748 749 750 750 763 764 765 766 775 775 776 777 784 785 786 806 806 807 813 814 815 816 825 825 826 827 834 835 836 856 856 857 871 872 873 874 875 876 878 878 878 879 879 879 880 880 885 885 886 887 888 889 890 891 897 898 899 900 901 902 904 904 904 905 905 905 906 906 911 911 912 913 914 915 916 917 931 932 933 934 934 939 939 940 941 942 948 949 950 951 951 956 956 957 958 959 959 973 974 975 976 977 978 978 983 983 984 986 987 988 988 994 995 996 997 998 999 999 1004 1004 1005 1007 1008 1009 1009
/L1Trigger/GlobalTriggerAnalyzer/src/L1RetrieveL1Extra.cc 437 438 439 440 443 444 446 447 452 453 454 455 477 478 479 480 483 484 486 487 492 493 494 495 516 517 518 519 522 523 525 526 531 532 533 534 555 556 557 558 561 562 564 565 570 571 572 573 594 595 596 597 600 601 603 604 609 610 611 612 633 634 635 636 639 640 642 643 648 649 650 651 672 673 674 677 678 680 685 686 687 708 709 712 713 719 720 741 742 745 746 752 753 774 775 776 779 780 782 787 788 789 811 819 829 850 858 868
/L1Trigger/HardwareValidation/interface/DEutils.h 1136 1137 1137 1138 1140 1141 1151 1152 1152 1154 1154 1162 1162 1163 1164 1164 1165 1166 1170 1170 1195 1195 1198 1199 1200 1201 1217 1289 1291 1291 1292 1298 1298 1299 1299 1300 1300 1312 1314 1314 1315 1321 1321 1322 1322
/L1Trigger/L1CaloTrigger/plugins/L1TS2PFJetInputPatternWriter.cc 251 260 270 275 276 278 278
/L1Trigger/L1TCaloLayer1/src/UCTTower.cc 218 219 220 221 222 222 223 225 226 227 228 229
/L1Trigger/L1TCaloLayer1/test/testUCTLayer1.cpp 157
/L1Trigger/L1TCaloLayer1/test/testUCTLayer1HF.cpp 138
/L1Trigger/L1TCalorimeter/plugins/L1TStage2InputPatternWriter.cc 248 257 267 272 272
/L1Trigger/L1TCalorimeter/src/HardwareSortingMethods.cc 43
/L1Trigger/L1TGlobal/plugins/GtInputDump.cc 109 110 110 112 112 114 114 116 117 134 135 135 137 137 139 139 141 141 143 143 145 146 163 164 164 166 166 168 168 170 171 188 189 189 191 191 193 193 195 228 228 232 232
/L1Trigger/L1TGlobal/plugins/GtRecordDump.cc 248 248 249 249 249 249 250 284 284 284 358 359 359 361 361 363 363 365 366 383 384 384 386 387 388 388 390 391 392 392 394 395 396 417 418 419 420 421 422 439 440 440 442 442 444 444 446 447 464 465 465 467 467 469 469 471 543 543 549 549 627 627 627 628 648 675 703 710 724 730 742 748 757 763 874 875 876 877 879 881 883 890 902 908 920 927 930
/L1Trigger/L1TGlobal/plugins/L1TGlobalSummary.cc 156 156 156 157 157 157 157 238 238 239 239 239 239 240
/L1Trigger/L1TGlobal/src/AlgorithmEvaluation.cc 178 178
/L1Trigger/L1TGlobal/src/GlobalScales.cc 738 738 739 739 747 747 748 748 756 756 757 757
/L1Trigger/L1TGlobal/src/TriggerMenu.cc 536 537 544 544 545 579 580 590 590 591
/L1Trigger/L1THGCal/test/HGCalBackendStage1ParameterExtractor.cc 148
/L1Trigger/L1TMuon/src/L1TMuonGlobalParamsHelper.cc 231 238 245 247 255 257
/L1Trigger/L1TMuonBarrel/src/L1MuBMEtaProcessor.cc 166 167 168 180 190 191 192 193 194 195 202 205
/L1Trigger/L1TMuonBarrel/src/L1MuBMLUTHandler.cc 78 114 117 191 192 229 232 283 284 324 327 330
/L1Trigger/L1TMuonBarrel/src/L1MuBMTQualPatternLut.cc 152 157 157 157 162
/L1Trigger/L1TMuonEndCap/test/tools/progress_bar.h 51 51 51
/L1Trigger/L1TMuonOverlap/src/GoldenPattern.cc 103 126
/L1Trigger/L1TMuonOverlap/src/XMLConfigWriter.cc 109
/L1Trigger/L1TMuonOverlapPhase1/src/MuonStub.cc 22 22 23 23 25 25 27 27 28 29 30
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/GoldenPattern.cc 38 50
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/GoldenPatternBase.cc 14 14 15 15 16
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/GoldenPatternResult.cc 450 452 457 457 464
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/OMTFProcessor.cc 430 494 879 879 880 880
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/OMTFinput.cc 93 98 101
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/ProcessorBase.cc 229
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/XMLConfigWriter.cc 108
/L1Trigger/L1TMuonOverlapPhase1/src/Omtf/XMLEventWriter.cc 33
/L1Trigger/L1TMuonOverlapPhase1/src/Tools/CandidateSimMuonMatcher.cc 111 112 112 113 172 173 174 175 182 183 184 185 273 274 275 319 320 321 322 323 324 325 463 463 464 465 466 467 468 469 470 470 471 524 525 526 527 528 529 530 531 531 532 602 602 603 608 610 610 611 634 635 635 636 718 719 720 721 795 796 797 797 856 856 857 858 859 860 861 862
/L1Trigger/L1TMuonOverlapPhase1/src/Tools/DataROOTDumper2.cc 183 185 187 188 219 221 223 223 329 330 331
/L1Trigger/L1TMuonOverlapPhase1/src/Tools/EventCapture.cc 113 115 116 116 120 121 123 123 132 133 134 148 149 150 150 221 222 222 223 293 294 297 299 320 320 323 323 328 328 331 331 334 334 339 343 350 350 353 353 358 358 361 361 364 364 369 373 376 399 399 400 400 404 404 405 405
/L1Trigger/L1TMuonOverlapPhase1/src/Tools/PatternGenerator.cc 536 643 643 832
/L1Trigger/L1TMuonOverlapPhase1/src/Tools/StubsSimHitsMatching.cc 165 173 173 194 203 204 220 230 230 260 325 370 370 371 372 373 373 384 384 385 386 387 387 425 425 426
/L1Trigger/L1TMuonOverlapPhase2/interface/LutNeuronLayerFixedPoint.h 65 65 66 66 67 68 68 69 69 70 71 71 72
/L1Trigger/L1TNtuples/uGTrates/uGTrates.cc 28 28
/L1Trigger/Phase2L1GMT/interface/ConvertedTTTrack.h 67 69
/L1Trigger/Phase2L1GMT/interface/PreTrackMatchedMuon.h 146 147
/L1Trigger/Phase2L1GMT/src/L1TPhase2GMTBarrelStubProcessor.cc 150 151
/L1Trigger/Phase2L1GMT/src/TPSAlgorithm.cc 467 468 469 470 471 472
/L1Trigger/RPCTrigger/src/RPCLogCone.cc 99
/L1Trigger/RPCTrigger/src/RPCTBMuon.cc 390 390 391 393 393 394 396
/L1Trigger/TextToDigi/plugins/GctDigiToPsbText.cc 6 73 76
/L1Trigger/TextToDigi/plugins/RawToText.cc 53
/L1Trigger/TextToDigi/plugins/RctDigiToRctText.cc 9 18 145 194 206
/L1Trigger/TextToDigi/plugins/RctTextToRctDigi.cc 57
/L1Trigger/TextToDigi/src/SourceCardRouting.cc 729 730 731 732
/L1Trigger/TrackFindingTMTT/src/SimpleLR4.cc 173 368 374 458
/L1Trigger/TrackFindingTracklet/src/AllProjectionsMemory.cc 22
/L1Trigger/TrackFindingTracklet/src/CandidateMatchMemory.cc 35
/L1Trigger/TrackFindingTracklet/src/CleanTrackMemory.cc 21
/L1Trigger/TrackFindingTracklet/src/FullMatchMemory.cc 47
/L1Trigger/TrackFindingTracklet/src/MemoryBase.cc 113
/L1Trigger/TrackFindingTracklet/src/StubPairsMemory.cc 16
/L1Trigger/TrackFindingTracklet/src/StubTripletsMemory.cc 17
/L1Trigger/TrackFindingTracklet/src/TrackFitMemory.cc 21
/L1Trigger/TrackFindingTracklet/src/TrackletEventProcessor.cc 400 400 401 403 403 404 406 406 407 409 409 410 413 413 414 416 416 417 420 420 421 424 424 425 427 427 428 430 430 431 434 434 435 438 438 439 441 441 442 444 444 445 447 447 448 451 451 452 454 454 455
/L1Trigger/TrackFindingTracklet/src/TrackletLUT.cc 1450
/L1Trigger/TrackFindingTracklet/src/TrackletParametersMemory.cc 26
/L1Trigger/TrackFindingTracklet/src/TrackletProjectionsMemory.cc 44
/L1Trigger/TrackFindingTracklet/src/VMProjectionsMemory.cc 34
/L1Trigger/TrackFindingTracklet/src/VMStubsMEMemory.cc 30
/L1Trigger/TrackFindingTracklet/test/AnalyzerDR.cc 270 270 271 271 273 273 275 275 277 277 278 278 279 280
/L1Trigger/TrackFindingTracklet/test/AnalyzerDRin.cc 271 271 272 272 274 274 276 276 278 278 279 279 280 281
/L1Trigger/TrackFindingTracklet/test/AnalyzerKFin.cc 262 262 263 263 265 265 267 267 269 269 270 270 271 272
/L1Trigger/TrackFindingTracklet/test/AnalyzerKFout.cc 225 225 227 227 229 229 230 230 231 232
/L1Trigger/TrackFindingTracklet/test/AnalyzerTBout.cc 311 311 312 312 314 314 316 316 317 317 318 319
/L1Trigger/TrackFindingTracklet/test/AnalyzerTracklet.cc 246 246 247 247 248 248 250 250 251 252
/L1Trigger/TrackerDTC/test/Analyzer.cc 420 420 422 422 424 424 426 426 428 428 448 448 449 449 451 451
/L1Trigger/TrackerTFP/src/Demonstrator.cc 119 139 146
/L1Trigger/TrackerTFP/src/KalmanFilterFormats.cc 34 34 34 35
/L1Trigger/TrackerTFP/test/AnalyzerGP.cc 180 180 181 181 183 183
/L1Trigger/TrackerTFP/test/AnalyzerHT.cc 227 227 228 228 230 230 232 232 233 233 234 235
/L1Trigger/TrackerTFP/test/AnalyzerKF.cc 340 340 341 341 343 343 345 345 346 346 347 348 349
/L1Trigger/TrackerTFP/test/AnalyzerKFin.cc 245 245 246 246 248 248 250 250 251 251 252 253
/L1Trigger/TrackerTFP/test/AnalyzerMHT.cc 244 244 245 245 247 247 249 249 250 250 251 252
/L1Trigger/TrackerTFP/test/AnalyzerZHT.cc 246 246 247 247 249 249 251 251 252 252 253 254
/L1TriggerConfig/DTTPGConfig/src/DTConfigManager.cc 220 220 230 230 233 233 241 241 244 244 250 250
/L1TriggerConfig/L1GtConfigProducers/src/L1GtVhdlWriterBitManager.cc 176 205 233 269 271 287 303 321 370
/L1TriggerConfig/Utilities/src/L1TGlobalPrescalesVetosViewer.cc 105 110
/L1TriggerConfig/Utilities/src/L1TMuonBarrelParamsViewer.cc 79 85 120 126 135 141
/L1TriggerConfig/Utilities/src/L1TMuonGlobalParamsViewer.cc 74 80
/MagneticField/GeomBuilder/src/DD4hep_MagGeoBuilder.cc 171 171
/MagneticField/GeomBuilder/src/MagGeoBuilderFromDDD.cc 203 203
/MagneticField/ParametrizedEngine/src/HarmBasis3DCyl.cc 144 146
/MagneticField/ParametrizedEngine/src/poly2d_base.cc 137
/MagneticField/ParametrizedEngine/src/rz_harm_poly.cc 134 138 142
/MagneticField/ParametrizedEngine/src/rz_harm_poly.h 54 54
/OnlineDB/EcalCondDB/src/LMFUnique.cc 117 121 134 142 145
/OnlineDB/EcalCondDB/test/DumpLaserDB.cpp 245 301 346 346 381 381 421 421
/OnlineDB/EcalCondDB/test/TestChErrors.cpp 314 315
/OnlineDB/SiStripConfigDb/src/AnalysisDescriptions.cc 477
/OnlineDB/SiStripConfigDb/src/DeviceDescriptions.cc 476
/OnlineDB/SiStripConfigDb/src/FedConnections.cc 399 399 409 409 418 418
/OnlineDB/SiStripConfigDb/src/FedDescriptions.cc 399 399 409 409 418 418
/OnlineDB/SiStripConfigDb/src/SiStripConfigDb.cc 341 449 485
/OnlineDB/SiStripConfigDb/test/plugins/testSiStripConfigDb.cc 90 103 105
/OnlineDB/SiStripESSources/src/SiStripFedCablingBuilderFromDb.cc 60 846 887 888 899 900 997 999 1011 1014 1018 1022
/PerfTools/AllocMonitor/plugins/HistogrammingAllocMonitor.cc 46 46 46 47
/PerfTools/AllocMonitor/plugins/monitor_file_utilities.cc 26
/PhysicsTools/FWLite/src/CommandLineParser.cc 210 210 223 223 236 236 254 256 269 284 301 303
/PhysicsTools/JetExamples/test/printJetFlavour.cc 76
/PhysicsTools/JetExamples/test/printJetFlavourInfo.cc 99 173
/PhysicsTools/KinFitter/src/TAbsFitParticle.cc 60 60 60 63 63 67 67 67 70 70 73 73
/PhysicsTools/KinFitter/src/TKinFitter.cc 1140 1141 1142 1145 1150 1151 1153 1155 1157 1159 1172 1173 1174 1177 1182 1183 1185 1187 1189 1228 1228 1239 1243 1246
/PhysicsTools/PatAlgos/plugins/CandidateSummaryTable.cc 110 125 131 132 132 133 133 134 135 135 135 150 155 155 156 157 157 159 159 159 160
/PhysicsTools/PatExamples/plugins/PatJetAnalyzer.cc 135 135 145 145
/PhysicsTools/TagAndProbe/test/utilities/effCalculator.C 566 567
/PhysicsTools/UtilAlgos/interface/Selections.h 208 208 209 212 225 226 227 229 254 258 259 265 265 266 270 271 278 278 279 282
/PhysicsTools/UtilAlgos/src/VariableHelper.cc 40 40
/RecoBTag/SoftLepton/test/testLeptonAssociator.cc 50 51 53 53 54 60 60 62 64 64 65 68 69 71 71 72 75 76 78 78 79 88 89 89 90 90 124 126 130 166 168 172 235 242 243 244 245
/RecoBTag/SoftLepton/test/testMuonAssociator.cc 49 50 52 52 53 60 60 62 64 64 65 68 69 71 71 72 75 76 78 78 79 89 90 90 91 91 152 154 158 162 238 245 246 247 248
/RecoHGCal/TICL/plugins/PatternRecognitionbyCLUE3D.cc 90 90 90 91 91 92 93 94 95 95 96 96 97 97 98 98 99 99 100 124 124 124 127 128 128 132 132 133 134 135 136 227 227 228 228 239 240 248 248 249 249
/RecoJets/JetAlgorithms/src/SubjetFilterAlgorithm.cc 243 243 243
/RecoJets/JetPlusTracks/plugins/JetPlusTrackCorrector.cc 989
/RecoJets/JetProducers/src/MVAJetPuId.cc 482 482 482 483
/RecoJets/JetProducers/src/PileupJetIdAlgo.cc 774 774 774 775
/RecoLocalCalo/EcalRecAlgos/bin/makeEcalMultifitResultsGpuValidationPlots.cpp 199 199 201 201
/RecoLocalCalo/EcalRecAlgos/bin/makeEcalRechitValidationPlots.cpp 454 454 456 456
/RecoLocalMuon/CSCEfficiency/test/ext_plot_2DEff_nice.C 92 92 92 92 93 93 93 93 93 94 94 94 94 95 95 95 103
/RecoLocalMuon/DTRecHit/plugins/DTParametrizedDriftAlgo.cc 270 287
/RecoLocalMuon/DTSegment/src/DTMeantimerPatternReco.cc 396
/RecoLocalMuon/GEMCSCSegment/plugins/GEMCSCSegFit.cc 255 256 373 374
/RecoLocalMuon/GEMSegment/plugins/GE0SegAlgoRU.cc 106 107 165 166
/RecoLocalMuon/GEMSegment/plugins/GEMSegmentAlgorithm.cc 355 356
/RecoLocalMuon/GEMSegment/plugins/GEMSegmentBuilder.cc 125
/RecoLocalMuon/GEMSegment/plugins/ME0SegAlgoRU.cc 80 81 140 141
/RecoLocalMuon/GEMSegment/plugins/ME0SegmentAlgorithm.cc 60 61 328 329
/RecoLocalMuon/GEMSegment/plugins/MuonSegFit.cc 352 353
/RecoLuminosity/LumiProducer/src/DIPLumiDetail.cc 33
/RecoLuminosity/LumiProducer/src/DIPLumiSummary.cc 41 42 43 44 45
/RecoLuminosity/TCPReceiver/src/TimeStamp.cc 27 28 29
/RecoMTD/DetLayers/src/ETLDetLayerGeometryBuilder.cc 77 78 79 79 80 80 118 119 120 120 121 130
/RecoMTD/DetLayers/src/MTDDetSector.cc 54 55 55 58 59 59 60 73 74 75 186
/RecoMTD/DetLayers/src/MTDDiskSectorBuilderFromDet.cc 60 61 62 63 64 65
/RecoMTD/DetLayers/src/MTDSectorForwardDoubleLayer.cc 37 38 39 39 40
/RecoMTD/DetLayers/src/MTDSectorForwardLayer.cc 48 49 51 51 52 67 68 69 105 106 107 108
/RecoMTD/DetLayers/test/MTDRecoGeometryAnalyzer.cc 61 67 73 100 107 114 116 125 127 136 137 153 154 155 156 157 157 169 171 171 172 176 178 178 179 228 237 273 274 275 276 294 296 297 298 299 301 303 304 305 306 311 312 315 316 317 317 318 319 321 322 323 323 324 324 376 383 414 417
/RecoMTD/DetLayers/test/TestETLNavigation.cc 35 41 66 68 69 70 71 73 75 76 77 78 83 84 89 90 91 92 92 93 93 95 96 97 97 98 99 99 105 106 111 111 112 112 113 113 114 116 117 118 119 119 120 120 130 131 136 136 137 137 138 138 139 142 142 143 143 144 144 145 151 151 152 152 153 153 154 156 157 158 159 159 160 160
/RecoMTD/TrackExtender/plugins/TrackExtenderWithMTD.cc 131 413 414 415 416 418 419 420 439 440 441 441 442 443 444 1131 1132 1132 1139 1141 1142 1143 1144
/RecoMuon/Navigation/src/MuonNavigationPrinter.cc 153 154 160 161 163 195 200 203 204 205
/RecoParticleFlow/PFTracking/src/PFDisplacedVertexFinder.cc 683
/RecoTauTag/HLTProducers/src/VertexFromTrackProducer.cc 158 159 159 160 160 161
/RecoTauTag/RecoTau/plugins/PFRecoTauChargedHadronProducer.cc 314
/RecoTauTag/RecoTau/plugins/RecoTauPiZeroProducer.cc 224
/RecoTracker/ConversionSeedGenerators/plugins/SeedForPhotonConversionFromQuadruplets.cc 913 913 919 919 925 931
/RecoTracker/MkFitCore/interface/MatrixSTypes.h 40
/RecoTracker/MkFitCore/src/IterationConfig.cc 668
/RecoTracker/TkTrackingRegions/plugins/PixelInactiveAreaFinder.cc 97 108 108 109 110 110 111 741 751 757 758 759 759 767 768 768 768 769 769 806 820
/RecoVertex/MultiVertexFit/src/MultiVertexFitter.cc 515
/RecoVertex/PrimaryVertexProducer/plugins/PrimaryVertexProducer.cc 341 341 342 342 343 344 344 345 346 347 349 350
/RecoVertex/PrimaryVertexProducer/src/DAClusterizerInZT_vect.cc 725 820 1039 1040 1401 1410 1419 1424 1424 1429 1440 1449 1468 1468 1468 1472 1472 1487 1492 1493 1497 1501 1501 1502 1503 1503 1520 1530 1539 1539 1545 1553
/RecoVertex/PrimaryVertexProducer/src/DAClusterizerInZ_vect.cc 587 732 1404 1412 1416 1416 1421 1432 1440 1458 1458 1458 1473 1478 1479 1483 1487 1487 1488 1489 1489 1502 1512 1512 1518 1526
/SimCalorimetry/EcalElectronicsEmulation/bin/recycleTccEmu.cc 99
/SimCalorimetry/EcalElectronicsEmulation/src/EcalFEtoDigi.cc 44 79
/SimCalorimetry/EcalElectronicsEmulation/src/EcalSimRawData.cc 151 192 256 323 347 350 376 379 535 578 580
/SimCalorimetry/EcalSelectiveReadoutAlgos/src/EcalSelectiveReadout.cc 315
/SimCalorimetry/EcalSimAlgos/test/testEcalShape.cpp 84 87 90 113 114 114
/SimCalorimetry/HGCalAssociatorProducers/plugins/LCToCPAssociatorByEnergyScoreImpl.cc 295 295 295 296 296 296 297 297 297 298 298 299 302 302 302 303 303 304 304 305 305 306 306 307 427 427 427 428 428 428 429 429 432 432 432 433 433 434 434 435
/SimCalorimetry/HGCalAssociatorProducers/plugins/LCToSCAssociatorByEnergyScoreImpl.cc 288 289 290 291 292 293 294 295 296 297 298 299 303 303 303 304 304 305 305 306 306 307 307 308 443 443 443 444 444 444 445 445 448 448 448 449 449 450 450 451
/SimCalorimetry/HGCalAssociatorProducers/plugins/MultiClusterAssociatorByEnergyScoreImpl.cc 327 328 329 330 331 332 333 334 335 336 337 340 340 340 341 341 342 342 343 343 344 344 438 438 438 439 439 439 440 440 444 444 444 445 445 446 446 447
/SimCalorimetry/HGCalAssociatorProducers/plugins/TSToSCAssociatorByEnergyScoreImpl.cc 262 262 262 263 263 263 264 264 264 265 265 266 269 269 269 270 270 271 271 272 272 273 273 274 419 419 419 420 420 421 421 424 424 424 425 425 426 426
/SimCalorimetry/HGCalAssociatorProducers/plugins/TSToSimTSAssociatorByEnergyScoreImpl.cc 238 238 238 239 239 239 240 240 240 241 241 242 245 245 245 246 246 247 247 248 248 249 249 250 385 385 385 386 386 387 387 390 390 390 391 391 392 392
/SimDataFormats/CaloHit/src/CaloHit.cc 21 21 21 22
/SimDataFormats/CaloHit/src/HFShowerPhoton.cc 15 15 15 16
/SimDataFormats/CaloHit/src/MaterialInformation.cc 7 7 8
/SimFastTiming/FastTimingCommon/src/BTLElectronicsSim.cc 59 60 61 62 63 64 65
/SimFastTiming/FastTimingCommon/test/testBTLShape.cpp 43 44 45 47 49 51 60 60 61
/SimG4CMS/Calo/plugins/HOSimHitStudy.cc 691 692
/SimG4CMS/Calo/plugins/HcalTestAnalysis.cc 224 372 373 446 447 447 448 448 448 483 484 484 485 485 485 520 521 521 522 522 522 655 655 656 657 657 660 660
/SimG4CMS/Calo/plugins/HitParentTest.cc 259 259
/SimG4CMS/Calo/src/CaloHitID.cc 90 91 91
/SimG4CMS/Calo/src/HcalQie.cc 152 152 177 177 203 203
/SimG4CMS/Forward/plugins/PrintMTDSens.cc 121
/SimG4CMS/HcalTestBeam/plugins/HcalTB04Analysis.cc 535 535 536 536 537 537 538 575 575 576 576 612 612 613 613 653 653 654 654 655 691 691 692 692 994 995 995 996 996 996 997 997 1012 1013 1013 1014 1014 1015 1015 1015
/SimG4CMS/ShowerLibraryProducer/plugins/CastorShowerLibraryMaker.cc 986
/SimG4Core/Geometry/src/CMSG4RegionReporter.cc 40 40 72 73 78
/SimG4Core/Notification/src/CMSSteppingVerbose.cc 107 108 109 110 111 112 113 114 115 116 120 120 121 121 122 123 123 123 125 140 140 141 141 142 145 185 185 188 188 190 190 192 192 194 194 196 196 198 198 200 200 202 202 204 204 206 207 208 209 210 211 212 212 214 215 216 216 218 221 222 222 224 224 226 226 228 228 230 230 235 237 239 241 243 248 250 252 254 256 262 264 267 269 330 330 331 331 332 336 336 337 341 343 375 375 376 377 377 378
/SimG4Core/TrackingVerbose/src/TrackingVerboseAction.cc 246 246 247 248 249 250 251 252 253 258 260
/SimGeneral/HepPDTESSource/test/HepPDTAnalyzer.cc 60 62 64 66 68 70
/SimMuon/GEMDigitizer/src/ME0PreRecoGaussianModel.cc 106 108 108 109 111 112 113 115 117 117 176 177 178 178 222 224 224 230 231 232 232 285 287 287 293 294 295 295
/SimMuon/RPCDigitizer/src/RPCSimSetUp.cc 259 259 283 283 285 297 297 299 315 315 317 365 365
/SimTracker/TrackAssociation/test/testTrackAssociator.cc 88 92 92 96 105 109 109 113 126 126 132 135 135 145 145 151 154 154
/SimTracker/TrackerHitAssociation/src/TrackerHitAssociator.cc 445 446 447 448
/SimTracker/TrackerMaterialAnalysis/plugins/MaterialAccountingGroup.cc 210 212 213 214 215 216
/SimTracker/TrackerMaterialAnalysis/plugins/TrackingMaterialAnalyser.cc 360 361 362 369 370 371
/SimTracker/TrackerMaterialAnalysis/plugins/dd4hep/DD4hep_MaterialAccountingGroup.cc 200 202 203 204 205 206
/SimTracker/TrackerMaterialAnalysis/plugins/dd4hep/DD4hep_TrackingMaterialAnalyser.cc 365 366 367 375 376 377
/SimTransport/TotemRPProtonTransportParametrization/src/TMultiDimFet.cc 1410 1410 1411 1412 1413 1413 1414 1415 1741 1743 1745 1747 1749 1751 1753 1847 1847 1848 1850
/TopQuarkAnalysis/TopTools/src/JetPartonMatching.cc 409
/TrackPropagation/SteppingHelixPropagator/src/SteppingHelixPropagator.cc 330 404 419 443 467 539 560 567 594 598 602 605 609 617 621 625 631 636 642 646 650 714 720 728 732 744 765 770 811 816 857 863 865 886 974 981 993 1243 1876 1881 1887 1941 1946 1979 1991 1997 2014 2034 2037 2039 2040 2079 2086 2089 2106 2112 2115 2136 2143 2153 2192 2211 2228 2234 2242 2265 2272 2285 2293 2297 2301 2328 2386 2395 2408 2419 2424 2460 2464
/Utilities/OpenSSL/test/test_openssl_evp_digest.cpp 22
/Utilities/OpenSSL/test/test_openssl_md5.cpp 13
/Utilities/OpenSSL/test/test_openssl_sha1.cpp 17
/Utilities/XrdAdaptor/src/XrdStatistics.cc 130
/Validation/EventGenerator/plugins/BasicGenParticleValidation.cc 125 125 126 127 142 142 143 143
/Validation/EventGenerator/plugins/MBUEandQCDValidation.cc 542 542 543 543 544 856 856 857 916 916 917
/Validation/EventGenerator/src/CaloCellId.cc 56 57 58 59
/Validation/EventGenerator/src/CaloCellManager.cc 157
/Validation/Geometry/macros/MatBudgetHcal.C 614 614 615 1076 1076 1086 1093 1093 1093 1094 1095 1110 1110 1120 1127 1127 1127 1128 1129
/Validation/HGCalValidation/plugins/SimG4HGCalValidation.cc 293 294 295
/Validation/HGCalValidation/src/HGVHistoProducerAlgo.cc 2873 2873 2873 2874 2874 2875 2875 2876 2876 2877 2878 2879 2880 2880 2881 2881 2882 2883 2883 2884 2884 2885 3094 3094 3095 3095 3096 3096 3097 3099 3099 3100 3100 3101 3101 3102
/Validation/HcalHits/src/SimG4HcalValidation.cc 329 330 330 401 402 402 403 403 403 404 466 467 467 468 468 468 469 482 482 483 484 484 487 487 491 491
/Validation/MtdValidation/plugins/Primary4DVertexValidation.cc 1932 1932 1933 1933 1935 2789 2790 2791 2792 2793 2794 2795 2826 2827
/Validation/RecoTau/plugins/DQMFileLoader.cc 89
/Validation/RecoVertex/src/TrackParameterAnalyzer.cc 136 178 178 179 179 179 180 180 181
/Validation/Tools/examples/printJetInfo.cc 93 94 95 96

6847 references in 617 files.